当前位置:首页>办公设备>扫描仪>

立式全自动扫描仪厂家(全自动智能扫描仪报价)

立式全自动扫描仪厂家(全自动智能扫描仪报价)

更新时间:2022-02-15 20:52:21

半导体制造过程会使用超过50种不同类型的复杂晶圆处理和测试设备。光刻机代表了晶圆厂商最大的资本支出之一,而且确定了晶圆厂可以生产的芯片先进程度。先进的光刻设备,特别是那些采用极紫外线(EUV)技术的设备,是生产5纳米及以下工艺芯片所必需的,一台EUV机器的售价就高达1.5亿美元。开发和制造这种先进的高精度制造设备需要在研发方面进行大量投资。半导体设备制造商通常将其营收的10-15%用于技术和产品研发。半导体设备制造商的整体研发水平为9%,在整个半导体产业的价值占比约11%。

在半导体设备领域,核心装备集中于日本、欧洲、美国、韩国四个地区。Gartner的数据显示,列入统计的、规模以上全球晶圆制造设备商共计58家,其中,日本企业最多,达到21 家,占36%。其次是欧洲的13家、北美10家、韩国7家,中国4家(上海盛美、上海中微、Mattson(亦庄国投收购)和北方华创,仅占不到 7%)。

在半导体制造设备领域,美国占比41%,以LAM(泛林半导体)、AMAT(应用材料)和KLA(科磊半导体)为代表。而中国仅占7%,以中微半导体和北方华创为代表。

全球半导体设备行业的龙头主要有:

1、美国应用材料AMAT:Applied Materials

2、美国泛林半导体LR:存储设备占60-70%

3、美国科磊(又称科天)KLA:KLA-Tencor

4、美国泰瑞达:Teradyne

5、日本东京电子TEL:Tokyo Electron

6、日本爱德万:Advantest

7、日本迪恩仕(又称斯科半导体):

8、日本国际电器:

9、日本日立高新:HitachiHigh-Tech

10、荷兰阿斯麦ASML:

11、先进太平洋科技(ASM PacificTechnology)

前十大半导体设备公司占总市场规模的96.10%。

一、美国半导体设备企业

美国半导体设备公司的主要优势在于物理气相沉积设备 PVD、检测设备、离子注入机和化学机械抛光设备 CMP 等半导体制造中的核心设备。化学气相沉积 CVD、刻蚀设备等也具有较强的优势,而光刻机、氧化、退火、去胶等其他设备,日本和荷兰公司有较大优势,或并不弱于美国公司。在刻蚀、氧化炉管、清洗等少部分设备领域,中国公司也有所突破。

半导体装备中价值最高的是光刻设备,占比高达30%,该设备占绝对优势的是荷兰公司阿斯麦,市占率为73.5%,其次以尼康、佳能等,其次为刻蚀设备,占比为20%,该领域由LAM、TEL以及AMAT三分天下,沉积设备价值占比为25%,属于应用材料传统领域。

1、美国应用材料公司AMAT

创始人Michael A. McNeilly出生于美国蒙大拿州,年轻时曾经是位橄榄球和篮球运动员,后来进入华盛顿州的Gonzaga大学继续打球和从事癌症研究。研究生毕业后他加入美国联合碳化物公司参与三氯氢硅业务。这种化学材料是生产多晶硅的重要原料。就这样他开始和半导体工业粘上边。在联合碳化物公司,他因成功找到检验三氯氢硅品质的办法而声名鹊起。1964年他参与一家叫Apogee的小公司,为半导体工业提供化学产品。这段期间他得以和半导体行业的先驱仙童公司来往频繁,成为他们的供应商之一。也是在这段时间里,他和戈登摩尔在内的半导体行业先驱们建立密切的个人关系。1967年,在他28岁那年,就在他家的厨房餐桌上,他创立了应用材料公司。包括戈登摩尔在内许多半导体大咖都是他的投资人。
值得一提的是,今天中国半导体设备的龙头企业上海中微的创办人尹志尧曾经就是美国应用材料公司的副总裁。

1968年该公司就推出AMS2600 Silox reactor,第一套可以在晶圆表面淀积SiO2薄膜的设备。同年推出的AMV800D垂直外延reactor系统支持同时处理8片2寸wafer。1969年,他们推出第一套辐射加热的CVD系统。1970年,推出首套针对LED显示器件的商用淀积系统。1972年实现IPO上市。2年后他们搬入位于Santa Clara的新厂房。1975年,全球半导体工业遭遇危机。在新总裁James C.Morgan的带领下,应用材料公司淘汰了若干不盈利的业务,重新聚焦半导体设备,不到一年,他们就实现了17%的销售额增长。那一年,他们同仙童公司合资成立一家生产多晶硅的公司。1976年,他们推出首套等离子CVD系统。1979年设立日本公司。1980年收购Lintott工程公司的离子注入业务。 1979年,应用材料日本分公司成立,正式进入亚洲市场。1981年,应用材料公司推出AME8100刻蚀系统。1984年,应用材料公司在中国开始业务并且成为第一家进入中国的外资半导体生产设备供应商。1987年,应用材料公司推出Precision 5000 CVD系统,帮助公司成为单晶圆,多腔制造的领导企业。1990年代,应用材料公司积极进入平板显示工业,并率先成为300mm晶圆设备的提供商。1994年,推出PVD(物理气相沉积)产品:Endura VHP PVD系统。2002年,应用材料公司在美国加利福尼亚硅谷设立梅丹(Maydan)技术中心。2016年,推出革命性的选择性刻蚀系统Producer® Selectra™系统。

AMAT创立于1967年,并于1972年上市,2020财年全年营收172亿美元,总部位于美国加利福尼亚硅谷圣克拉拉,全球拥有24000名员工,拥有13300个专利,在19个国家和地区设置110个分支机构。主要生产半导体,TFT LCD显示屏,玻璃,WEB和太阳能电池(晶体和薄膜)产品设备,服务和软件的公司。

包括沉积(CVD、PVD 等)、离子注入、刻蚀、快速热处理、化学机械平整、计量检验等。全球服务模块主要提供一系列提高晶圆厂效率的解决方案以及软件服务,显示器相关业务主要生产用于制造LED、OLED和其他显示器件的设备。

应用材料最核心的部门在于半导体系统模块。按半导体制造流程,可分为硅片制造、晶圆制造、封装测试三个环节,晶圆制造设备占比最高。根据SEMI的数据,设备中的70%以上是晶圆的制造设备,以一座投资规模为15亿元美金的晶圆厂为例,晶圆厂70%的投资用于购买设备(约10亿美金)。晶圆制造设备中,光刻机、刻蚀机和薄膜沉积设备为核心设备,分别占晶圆制造环节的约30%、25%和25%。

美国应用材料在离子刻蚀和薄膜沉积领域都是行业中的佼佼者,尤其是在早期就专注的薄膜沉积领域,其产品占全球 PVD(PhysicalVapor Deposition)设备市场近55%的份额,占全球CVD(ChemicalVaporDeposition)设备市场近30%的份额。

公司最大的客户为三星电子、台积电、镁光科技、英特尔,都占到了营业收入的百分之十以上。

对外并购

1996年11月,美国应用材料公司以2.85亿美元总金额收购两家以色列公司Opal Technologies和Orbot Instruments。Opal开发和制造高速计量系统用于半导体制造商在生产集成电路过程中来验证关键尺寸。
2001年6月27日,美国应用材料公司以2100万美元的现金收购以色列激光清洗技术的半导体晶片的供应商Oramir半导体设备有限公司。

2008年1月,公司收购意大利一家生产太阳能电池所用的工具设计公司Baccini。

2009年,公司在中国西安成立世界上最大的商业太阳能研究和开发设施太阳能技术中心。
2009年12月,美国应用材料公司收购Semitool公司。

公司宣布将在2011年5月收购瓦里安半导体公司。

公司宣布将于2013年9月24日与东京电子合并。合并后的公司将是世界上最大的半导体加工设备供应商,总市值约为2900亿美元。后未被批准。

应用材料采取CPC来利用生产中的大数据解决晶圆制造过程中复杂的品控问题。CPC在软件、算法以及机器学习基础上展开的对数据的分析,将分析的结果应用到生产过程中,对复杂的半导体生产过程进行控制。依据CPC做出的决策加速了机器的学习过程,有助于芯片制造商更快对缺陷进行分类,找出根本原因并解决良率问题。其最新发布的SEMVISION G7采用最新成像技术和增强的机器学习能力,是目前市面上唯一具有高分辨率成像,以及经生产验证的、具有先进机器学习自动缺陷分类能力的系统

在工艺系统更新方面,在2011年,公司研发Centura系统原子沉积技术(ALD),一次可只沉积一层原子;2014年,公司研发Endura系统,能够完成连续薄的阻挡层和种子层的硅通孔沉积。在2018年,公司推出采用全新设计的新型CENTURA 200毫米常压厚硅外延反应室PRONTO,该反应室专为生产工业级高质量厚硅(厚度为20~150微米)外延膜而设计,能使当前的外延膜生产效率最大化(一次只对一个晶圆实施外延工艺)。且在刻蚀领域,公司研发的Etch系统以前所未有的功能特性,能够实现先进FinFET的原子级刻蚀控制,进一步缩减3D 逻辑和存储芯片尺寸。

应用材料的研发投入占公司营业收入的10%以上。

传统设备公司往往将重心放在产品研发和推出,应用材料却将“服务”放在了和产品几乎同等重要的位置上,一直不只是以满足客户需求为标准,更多考虑的是创新客户的需求,其与客户的互动模式值得借鉴。(1)创造增加客户粘性的产品模式:20世纪70年代,应用材料当时的首席执行官吉姆提出,“设备厂商比客户更了解客户的需求”,创立“解决方案”部门,将服务附加到设备中形成更具粘性的解决方案产品。最终成为整个行业争相学习的服务模式。现在应用材料能够根据不同厂家的需求为他们设计产品,提供咨询、备件、服务和自动化软件,以提高设备和工厂运营的性能和生产力;还能够提供供应链解决方案服务,从交易性备件到提供专家重建和预测性零件管理的综合计划,全面优化的全球零件网络支持当今和未来半导体和显示器制造业的额外复杂性。这使得客户在购买应用材料产品时能够配套完整的运输、使用、质控流程,培养了客户黏性。(2)跟随客户转移,增加距离粘性:应用材料对如何成为一个好的设备厂商有一个清晰的认识,那就是能够让客户在需要的时候找到你。

2、美国泛林半导体LR:又称拉姆研究(代码LRCX)

1980年,林杰屏(David K. Lam)创办了泛林半导体。林杰屏博士祖籍广东花县。生于越南西贡堤岸。1960 年与其弟到香港培正中学学习。毕业后赴加拿大深造。1967年在多伦多大学电机物理系毕业,去美国麻省理工学院攻读化学工程。1974年获博士学位。在得克萨斯州仪器公司任职1年后,转到施乐公司。1976年又转到惠普公司,任研究部门主管。 在创建拉姆研究之后,1983年他还创建了一家电脑终端公司Link科技,后来这家公司被WYSE收购。

1981,发布发布第一款产品——离子刻蚀设备AutoEtch 480;1984年,首次公开发行IPO,登陆纳斯达克;1985年,发布AutoEtch 590;1987年,将总部搬到弗里蒙特Cushing Parkway,发布Rainbow 4400 Etch 系列;1988年,发明单晶圆旋转清洗系统;1990年,进入中国大陆市场;1991年推出SP系列旋转清洗系统;1995年又发布首个双频介质刻蚀产品;1996年发布HDP-CVD系统;2000年发布2300 刻蚀平台和VECTOR PECVD系统;2004年发布第一代Kyyo和Flex刻蚀产品;2004年发布ALTUS tungsten barrier CVD系统;2012年,与加州上市公司诺发系统Novellus合并;2015年,收购同业科磊半导体(KLA-Tencor )。

LamResearch,主要是蚀刻机、CVD(化学气相沉积)、清洗、镀铜等设备。2019年营收95亿美元,在全球半导体装备行业位列第四,仅次于ASML、TEL日本东京电子及KLA。2020年,泛林集团实现营收为119.29亿美元,折合人民币783亿元,位居全球第三。

经营理念:林杰屏始终坚持从事离子刻蚀机设备领域,同时非常有前瞻性地将数字技术用于设备控制。专业、注重技术研发,是泛林集团取得成功的基因,而这样的基因是林杰屏一开始就赋予企业的。

1980年全球半导体销售额仅为140亿美元,2010年这一数字达到3000亿美元,30年翻了超21倍。蛋糕不断做大,能分到的蛋糕当然也就越来越多。

2020年,其发布了革新性的等离子刻蚀技术及系统解决方案,将刻蚀精度提升五成以上。

2015年11月,泛林半导体设备技术公司(纳斯达克交易代码:LRCX)与科磊半导体设备技术有限公司(纳斯达克交易代码:KLAC)共同宣布达成决定性协议,经双方董事会一致同意,由泛林半导体以股权置换加现金的方式收购科磊半导体全部股份。

科磊半导体原有股东有权将所持股份以每股32美元加泛林半导体半股的形式进行兑换,兑换方式可以选择全部现金、全部股票,抑或部分现金和部分股票。详细配股方式将依据并购协议中的相关内容。根据泛林半导体在2015年10月20日的收盘价,泛林半导体将以每股67.02美元,或交易总值106亿美元收购科磊半导体。

3、美国科磊半导体KLA -TencorCorporation(又译科天)

KLA主要在晶片、晶圆、光罩制 造测试等多个领域为芯片制造商、晶圆代工厂等客户提供制程控制设备和良率管理方案。公司的业务遍布全球,主要销往中国大陆、中国台湾,韩国等地区,在 2019 财年美国本土外地区的收入超过 80%。公司提供的主要是半导体前道检测设备,对加工制造过程进行实时的监控,确保每一步加工后的产品均 符合参数要求。2018 年前道检测设备的市场规模约为 58 亿美元。2019 财年公司的营收为 46 亿美元, 净利润为 12 亿美元。

在整个半导体市场规模中,KLA 主要生产的过程控制设备市场规模占比约为 15%。

KLA的客户主要是芯片制造厂商和代工厂,其中芯片制造商主要为逻辑芯片和存储芯片制造商。公司的主要客户有台积电、三星电子等电子设备生产厂商。

TencorInstruments,Inc.与KLA Instruments同年成立。尽管两家公司都生产用于半导体的检测设备,但双方的产品线专注于半导体生产的不同部分。KLA专注于缺陷检测解决方案,而Tencor则致力于量测解决方案。

1997年为了强化公司的市场竞争力,KLA同意以13亿美元一对一的股票互换合并Tencor

双方合并是互补,KLA的高端自动光学晶圆检测、光罩检测和其他良率工具,加上Tencor的良率监测过程诊断工具,可以为半导体制造商提供了更加完整的良率管理产品和服务。1997年5月双方完成合并,命名为KLA-TencorCorp.
2019 年 2 月完成对 Orbotech 100%的收购:公司的收购金额约为 32.6 亿美元, Orbotech 的主要业务是 为 PCB、平面显示器,IC 等电子设备制造商提供检查,测试,测量设备和服务。KLA 完成收购后将延伸公司业务,进入 PCB 和显示屏市场,带来多维的营收。

4、美国泰瑞达(Teradyne) (纽约证券交易所代号:TER)

1960年由Alex d'Arbeloff和Nick DeWolf在马萨诸塞州创办,从生产二极管测试仪起家,到今天已经成为自动测试设备(Automatic Test Equipment,ATE)领导品牌。公司是唯一能够覆盖模拟、混合信号、存储器及VLSI 器件测试的设备提供商。公司的下游客户遍布半导体整条产业链,世界知名厂商台积电、JA 三井租赁株式会社、三星电子、Intel、美光、意法半导体、伟创力、高通公司、德州仪器、联发科、恩智浦、日月光、安靠、苹果、西部数据、希捷、东芝等都是其重要客户。

测试台市场份额长期处于行业第一位,2017 年市场份额为50%,预计2021 年将增加至54%-56%。公司是SoC 测试台领域的绝对龙头,2017年在SoC 测试台的市占率为56.60%。2017 年公司营收达21.37 亿美元。

1980年早期,泰瑞达收购Aida和Case Technologies,进入了计算机辅助工程(CAE)业务领域,1988年达到公司营收35%。

1987年,收购电路板测试系统制造商Zehntel扩展了其元件测试业务;同年推出了第一款模拟VLSI测试系统A500。

1995年,收购了Megatest公司,扩大了半导体测试业务,以推出更小、更便宜的测试仪,通过Catalyst和Tiger测试系统成为高端片上系统(SoC)测试的市场领导者。

2000年,收购了Herco Technologies和Synthane-Taylor,2001年收购了为汽车制造提供电路板测试和检验设备的GenRad,并将其合并到装配测试部门。

2008年,收购Nextest和Eagle Test Systems,扩大了其半导体测试业务,分别服务于闪存测试市场和大批量模拟测试市场。同年,凭借内部开发的Neptune产品进入磁盘驱动器测试市场,该产品服务于数据密集型互联网和计算存储市场。并购后因经营得当,公司高管层非常注重供应链的优化整合及财务方面对现金流进行有效的管理,公司业绩蒸蒸日上,不仅于2013 年重新夺回市场桂冠,而且在后期持续拉开与爱德万在该领域的差距。

2011年,收购了无线产品测试解决方案供应商LitePoint。随着LitePoint的加入,泰瑞达的产品组合从半导体芯片的晶圆测试延伸到系统级电路板,再到终端产品。

2019年,收购大功率半导体行业测试设备供应商Lemsys,扩展泰瑞达在新兴和快速增长的功率分立领域测试市场中的作用

5、美国亚舍利Axcelis

全球第二大离子注机生产商,设计、制造和服务用于半导体芯片制造的离子注入和其他处理设备。除设备外,公司还提供售后全生命周期产品和服务,包括二手工具、备件、设备升级、维护服务和客户培训。

Axcelis于1995年在特拉华州注册,其总部位于马萨诸塞州的贝弗利。

6、美国AIBT

离子注入机生产商。

二、日本半导体设备企业

日本企业占全球半导体设备总体市场份额高达37%。在电子束描画设备、涂布/显影设备、清洗设备、氧化炉、减压CVD设备等重要前端设备、以划片机为代表的重要后道封装设备和以探针器为代表的重要测试设备环节,日本企业处于垄断地位,竞争力非常强。

在前道15类关键设备中,日本企业平均市场份额为38%,在6类产品中市场份额占比超越40%,在电子束,涂布显影设备市场份额超过90%;在后道9类关键设备中,日本企业平均市场份额为41%,在划片,成型,探针的市场份额都超过50%。

具体市场份额和市占率如下图所示。

自1955年索尼开始研发半导体收音机开始,日本半导体产业开始起步。此后,存储器一度成为日本的第一产业,特别是DRAM。

日美芯片展开竞争后,日本半导体芯片奠定了在全球的第一地位,配套的日本半导体材料和设备也快速崛起。日本芯片产业上演了“一人得道鸡犬升天”的飞速发展。另外一个重要原因,日本本土半导体企业不断更新设备,同时驱使设备厂商不断提升技术,再加上日本政府领导的“官产学”一体化研发,政策上鼓励及大力支持,可以说是技术和市场驱动两条线走路,让日本在设备领域突飞猛进。

据统计,日本在1975年之前,半导体制造装备几乎是从国外进口,但是到了1980年代初,70%以上的半导体制造装备日本已经实现完全国产化。日本的半导体设备厂商为世界出口了大约37%的半导体设备。

1、日本东京电子TEL

东京电子是一家位于日本的半导体设备提供商,主要从事半导体设备和平板显示器设备制造。目前半导体设备营收占90%以上。其主要产品包括:涂布/显像设备、热处理成膜设备、干法刻蚀设备、CVD、湿法清洗设备及测试设备。

东京电子(Tokyo Electron,TEL)在2017年排名第3,由于2018年营收较2017年增长高达27%,排名超过Lam Research,一跃成为第二。

是日本最大的半导体制造设备提供商,也是世界三大半导体制造设备提供商。主要从事半导体制造设备和平板显示器制造设备的研发和生产,全球拥有1.1万名员工

财报显示,根据东京电子2018年4月25日发布的财报(2017年4月1日到2018年3月31日),东京电子的营收总额、营业利润、净利润均创历史最高水平,是日本半导体领域当之无愧的赚钱明星。

其中营收额11307亿日元(约合680亿人民币),净利率2043亿日元(约合120亿人民币)。

东京电子的产品几乎覆盖了半导体制造流程中的所有工序。其主要产品包括:涂布/显像设备、热处理成膜设备、干法刻蚀设备、CVD、湿法清洗设备及测试设备。其中东京电子的涂布设备在全球占有率达到87%。另外,FPD制造设备中,蚀刻机设备占有率达到71%。其他设备的占有率也有相当的份额。

东京电子的前身东京电子研究所由久保德雄和小高敏夫成立于1963年,注册资本500万日元,员工6人。主要从事汽车收音机的出口和半导体制造设备的进口。1965年,东京电子成为Fairchild Semiconductor的日本代理商。1968年,东京电子与Thermco Products Corp.合并,成为日本第一家半导体制造设备厂商。

进入1980年代,日本半导体产业日益兴隆。东京电子进一步积极推动半导体制造设备的国产化。这期间,东京电子和美国公司通过合资公司的形式,从美国引进先进的技术,并与自身的制造技术融为一体。这样,东京电子逐渐扩大国产化的比例,成为可以生产最尖端半导体制造设备的厂商。1989年,东京电子的半导体制造设备营收额位居全球第一(VLSI Research公司数据),并连续三年蝉联冠军,至1991年。这期间,开始拓展海外据点,为海外用户提供本地化服务,在全球奠定了在半导体制造设备领域的领先地位。

东电于1976年开发出了世界上第一台高压氧化炉,1986年生产第一台立式扩散炉发货。据VLSI Research数据,1989年东电的半导体制造设备营收额突破6亿美元。东电的产品几乎覆盖了半导体制造流程中的所有工序,主要产品包括:涂胶/显影设备、热处理成膜设备、干法刻蚀设备、沉积设备、清洗设备,封测设备。其中涂胶/显影设备在全球占有率达到87%,在FPD制造设备中,刻蚀机占有率达到七成。

2、爱德万测试Advantest

爱德万(Advantest)成立于1954 年,总部位于日本东京市,原名武田理研公司, 1972 年爱德万正式跨足半导体测试领域,经过40 多年的发展,公司已经成为世界上后道检测设备领先企业。公司一直致力于集成电路测试技术的开发,拥有种类完善的半导体后道测试台和分选机,公司的主要客户有Intel、三星电子、AMD、德州仪器、安靠、日月光、台星科、长电科技、力成、西部数据、通富微电等企业。

在储存器测试台细分市场领域,爱德万以40%的市占率长期位居全球首位,2011 年爱德万成功收购惠瑞捷(Verigy)进军SoC 测试,并一度成为全球最大的测试台设备厂商,目前仅次于泰瑞达位居第二。此外公司分选机的性能已经达到了业界的先进水平,其中存储芯片分选机的并行测试容量为768,非存储类芯片分选机可同时测量32枚芯片。公司既有能满足高端产品测试需求的解决方案,也兼顾工程研发初期或小规模量产验证的测试设备。

2003年公司另辟蹊径地推出了全球第一款基于开放式架构的T2000测试系统,该系统以单体测试模块为基础,通过配置不同的测试模块使得用户具有测试不同功能芯片的能力。T2000拥有丰富多样、功能强大的测试模块,除了对SoC进行测试外,还可以实现数字测试、电源测试、模拟测试、功率器件测试等功能。用户可以根据特定的测试需求来组合不同的测试模块,实现更加复杂的测试方案,因此产品一经上市便受到了广泛的关注。

而在推出业界第一款基于开放式架构测试台、收购测试设备先进厂商惠瑞捷之后,爱德万强势进军SoC市场。他们是继泰瑞达、惠瑞捷之后第三个进入SoC市场的参与者。

爱德万并购惠瑞捷后实现强强结合,使得公司在SoC测试设备领域的市场份额实现了巨大的提升。V93000平台是惠瑞捷于1999年推出的针对SoC产品的测试系统,是当时行业内最为畅销的SoC测试系统之一。爱德万于2011年完成收购惠瑞捷后,结合自身技术积累在原平台的基础上推出了V93000 Smart Scale测试台,它提供了从入门级的消费类芯片到最复杂的高度集成SoC芯片测试所需要的全套功能,实现了低成本与高性能的完美结合。

爱德万测试(Advantest)在 2018年受到存储芯片增长的影响,存储测试机台出货大增,销售额同比增加53%,成功地超越了斯科半导体(SCREEN)、先进太平洋科技(ASMPT)和泰瑞达Teradyne,连升三级,越居第6。爱德万测试一直致力于集成电路测试技术的开发,拥有种类完善的半导体后道测试台。在储存测试细分市场领域,爱德万长期位居全球首位。

爱德万测试开创了日本测试界的的先河,研发出了多个全球及日本“第一款”测试设备:比如日本第一款电子计数器TR-124B、第一台振动电容超低电流静电计TR-81、第一台计算机控制的IC测试设备、第一台测试仪LSI测试系统T-320/20。特别是1976年推出的T310 / 31作为全球唯一的生产DRAM测试仪在全球大获成功。到1985年,爱德万测试便成为全球领先的半导体测试设备提供商。公司在存储器测试设备市场拥有50%以上的市场占有率。

3、日立国际电气KOKUSAI ELECTRIC

KOKUSAIELECTRIC的前身是日立国际电气,日立国际电气是2000年10月由3家公司合并而成:国际电气,从事无线通信设备与半导体制作,1949年设立;日立电子:从事无线通信设备与映像设备制作,1948年设立;八木天线(Yagi Antenna),由发明八木天线的八木秀次博士于1952年成立,拥有天线专利。

公司合并后以原本的国际电气为主,改名日立国际电气,其他各厂与海内外分公司,则逐一改组为日立国际电气相关分公司。其本业是广电设备,但近年盈利重心集中到了半导体制造设备上,其在氧化膜生成用晶圆热处理设备市场中领先,也是PECVD的小厂家。

在2017年,美国私募股权巨头KKR集团1宣布对其收购。按照计划,KKR将分拆日立国际电气的芯片制造设备部门,保留完整持股,之后再把剩余业务的40%卖给日立制作所以及投资基金Japan Industrial Partners Inc;剩余业务包括通讯及影像设备部门。

那就意味着以半导体制造设备为核心的薄膜制程解决方案(Thin-Film Process Solutions)事业,将为KKR持有;涵盖影音安全、IoT无线通讯设备等方面业务的影音与通讯解决方案(Video andCommunication Solutions),由KKR、日立制作所和私募基金JIP(Japan Industrial Partners)以60-20-20股份比率分别持有。

4、日立高科(Hitachi High-Tech)

日立高新科技公司:2001年日立仪器集团和半导体制造设备集团合并后,公司名称更改为日立高科技公司。在半导体设备领域,主要生产干蚀刻系统和CD-SEMI和缺陷检查系统。这些设备广泛应用于大规模集成电路、功率器件和声表面波滤波器、CMOS图像传感器、微机电系统和其他(硬盘和平板显示器)。

日立全球先端科技为全球半导体设备大厂。主要产品包括半导体设备、电子显微镜、液晶面板相关设备,FPD设备包括包括Array、Cell、Module、彩色滤光片之制程设备,包含玻璃基板表面检查设备、曝光机、湿制程设备..等及医疗分析设备。官网显示,Hitachi High-Tech为半导体领域提供过程设备,计量和检测设备,其核心产品包括我们全球最畅销的CD测量SEM和等离子蚀刻系统,可实现高精度超细加工。

2016年,该公司营收年增率仅次于ScreenSemiconductor。营收年增率为24.3%,营收为9.80亿美元。

2018年的营收与2017年相比还是增长了15%,排名上升两位,力压Kokusai和SEMES,居第十。

日立高科在半导体设备方面主要生产沉积、刻蚀、检测设备,以及封装贴片设备等。另外,公司还生产分析和临床仪器,如电子显微镜和DNA测序仪; 平板显示器(FPD),液晶显示器(LCD)和硬盘的制造设备;计量和检查设备。此外还销售相关材料。日立高科技在日本的销售额超过4成。

5、日本迪恩士(又称斯科半导体)SCREEN Semiconductor Solutions

DAINIPPONSCREEN是日本半导体设备和LCD 生产设备厂。公司设备制造包括半导体、LCD、印刷电路板制程设备,客户遍及日本、韩国和台湾。另外还提供图像处理设备,如CTP版(打印输出设备)、数字印刷、印刷制版设备及其他字体及维修保养服务。2015年1月,公司更名为SCREEN Holdings Co.,Ltd。

迪恩仕总部位于日本。从印前、印刷及相关设备到电子产业,迪恩士已在各个领域扩大了其业务范围。在“发展思路”的公司的原则指导下,以核心图像处理技术为杠杆,不断努力开创着新的业务和产品。

迪恩仕现在正在发展和生产印刷领域及世界领先的高科技领域的印刷技术数字化设备,如电子领域的半导体制造设备,FPDs (平板显示器)和印刷电路板。

迪恩仕科技提供各领域之半导体晶圆设备,包含洗净、蚀刻、显影/涂布等制程用途,其中洗净设备于半导体业界具有极高之市占率,同时随着半导体制程技术进步不断推陈出新设备产品。

据国泰君安的统计,在主要的三种清洗设备市场中,迪恩士都是当之无愧的龙头。在单晶圆清洗设备市场,迪恩士市场占有率高达54.9%;自动清洗台由于技术门槛相对较低,市场参与者较多,但是迪恩士市场占有率仍达到了50%以上;而在洗刷机市场迪恩士也有着60%-70%的市场占有率,可以说迪恩士是清洗设备市场中当之无愧的龙头。

斯科半导体(SCREEN Semiconductor Solutions Co., Ltd.,SCREEN)在2018年的排名维持第七,但是2018年的营收与2017年比增加了21%。

斯科半导体主要生产轨道(Track)、晶圆清洁系统(WaferCleaning System)、退火系统(Annealing System)、测量系统(Measurement System)、检测系统(Inspection System)、级封装光刻(Advanced Packaging Lithography)。

斯科半导体的清洗设备具有极高的市占率。

斯科半导体是世界上唯一生产线图像制版器材、电子原件制造设备的综合制造厂商,创立于1943年。1975年开发出晶圆刻蚀机。

特别在晶圆清洗领域,2009年SCREEN在单晶圆清洗系统中获得了全球60%以上的份额;在涂布机/显影机,湿法蚀刻机和抗蚀剂剥离剂的LCD制造设备市场上赢得了2008年全球最大的份额。在图案检查和液晶制造设备行业也拥有全球龙头地位。

6、Daifuku(大福集团)

资料显示,大福集团创于 1937 年,最早生产气锤、锻压加工机,随着日本经济的复兴与发展,开始涉足物料运输及管理物流。

到上世纪50 年代中期,大福进入物流设备制造领域 , 生产制造自动生产线等。从 60 年代起开始生产立体自动仓库和自动化无人搬送车。1961 年,随着大福股票上市 , 公司由此步入了快速发展的时代。

发展至今,大福主要业务有六项:包括制造业及流通产业,半导体及液晶制造业,汽车制造业,机场专用系统,洗车机及相关产品,电子产品。公司始终致力于物料搬运技术与设备的研究、开发,将仓储、搬运、分拣和管理等多种技术综合为最佳、最理想的物料搬运系统,提供给了全世界各行各业的广大用户。

大福的洁净室存储、搬运系统被广泛应用于半导体、液晶等平板显示器制造行业。公司运用高端技术实现洁净室内的无尘搬运、降低了搬运过程中产生的振动。近年来,公司利用氮气净化、空气悬浮传送等独有的搬运技术,满足半导体的细微化及液晶显示器的精细化加工要求,为高新数字产品生产的物流合理化做出了巨大贡献。大福为半导体企业提供各种系统解决方案,为半导体生产线提供高度可靠的存储、输送系统,确保每年 365 天每天 24 小时连续运转,为半导体微细加工的进一步发展提供氮气净化存储系统,并提供最大限度地提高生产设备运转率的物流系统等。

面向半导体领域,大福(集团)公司为企业提供各种系统解决方案。例如:为半导体生产线提供高度可靠的存储、输送系统,确保365天×24小时连续运转;为半导体微细加工的进一步发展提供氮气净化存储系统;还提供最大限度地提高生产设备运转率的物流系统等。

面向液晶领域,随着液晶显示屏的大型化以及平板电脑、智能手机等终端电子设备需求的不断增加,半导体、液晶企业为适应市场需求、满足高画质要求,不断地提高工厂的生产力,强化高精细加工。针对上述需求,大福(集团)公司依托先进的自动化物料搬运系统(AMHS)为液晶行业高端工厂的生产加工提供强有力的支持。

7、佳能

佳能成立于1937年,原名为精机光学工业株式会社(Precision OpticalIndustry, Co., Ltd),1947年更名为佳能照相机株式会社(Canon Camera Co. Inc.),1969年更名为佳能公司(Canon Inc.)。依托佳能在相机镜头设计和制造的精密光学技术,最初面向市场的是35mm焦平面快门相机。如今,佳能现已成长为在全球拥有376家分公司、超过197000名员工的大型企业。

1984年,佳能推出首款步进式光刻机(stepper),并不断利用佳能专有技术来更新和改进我们的光刻平台。在超越摩尔领域,佳能已经开发了新的光刻设备和功能,适用于透明晶圆、不同尺寸的晶圆、翘曲(warped)晶圆的处理和晶圆背面的红外线对准。

2011年,佳能推出第一款用于后道的步进式光刻机FPA-5510iV,宣告进入先进封装领域的光刻市场。I线步进式光刻机FPA-5510iV在生产率方面非常具有优势,已被倒装芯片封装工艺广泛采用。

2016年,佳能推出更高分辨率和高套刻精度步进式光刻机FPA-5520iV,能满足扇出型晶圆级封装(FOWLP)工艺的严格要求,FPA-5520iV目前已投入FOWLP量产、下一代精细重布线层(fine-RDL)和FOWLP研发。

最近,佳能还开发了新款原子扩散键合设备,该设备将佳能的子公司Canon ANELVA的薄膜沉积和超高真空技术集成到同一系统,能够在室温和低压的条件下实现任何镜面抛光表面的永久键合。这是一种非常通用的技术,几乎可以完成任何两种不同材料的键合。

据介绍,佳能的FEOL(生产线前道工序)产品阵容强大,包括深紫外光(DUV)扫描式光刻机FPA-6300ES6a和I线步进式光刻机FPA-5550iZ2,得益于其高生产率和低成本的优势而受到存储器制造厂商的青睐。

我们最新的光刻系统FPA-1200NZ2C是一套利用纳米压印光刻技术提供超精细图案化和整体工艺成本低的创新设备。

佳能还专为超越摩尔应用开发设计了一系列系统,包括可用于4寸、6寸和8寸晶圆加工的步进式光刻机FPA-3030EX6和FPA-3030i5 ,用于高端图像传感器制造的I线步进式光刻机FPA-5510iX和宽场DUV扫描式光刻机FPA-6300ESW,用于先进封装领域的步进式光刻机FPA-5520iV。

按照佳能的说法,他们的关键优势之一是产品覆盖半导体前道市场和半导体后道市场,产品组合广泛。为了满足组合市场的需求,佳能在全球布局了强大的服务网络,为终端客户提供快速和高质量的支持。

另一优势是佳能在前道技术开发过程中积累了宝贵的经验,在开发后道产品时可借鉴早期平台研发的经验,并利用经过验证的专有光学制造技术,不断改进光刻系统的性能。

佳能将前期积累的专业技术运用到设计和制造中,从而为超越摩尔应用提供稳定的成像性能、高可靠性、高生产率和最低的最终成本。

佳能方面指出,财务实力和工程组织确保佳能参与半导体市场的各个领域。佳能具备不断升级和开发新功能的技术专长和资源,为不同市场提供满足具体要求的光刻系统。

日本佳能Tokki公司:成立于1967年,2010年,佳能收购TokkiCorporation。佳能Tokki于1999年开发了第一个OLED批量生产系统,该系统通过一个系统处理OLED /电极材料的沉积和封装。在OLED蒸镀机方面,佳能Tokki几乎形成垄断。

8、Lasertec

在经历了二十多年的研发之后,芯片制造商在一项能够大幅度提升硅片上晶体管密度的技术上压下了重注,那就是EUV光刻。他们能够取得成功,日本东京郊区的一家名为Lasertec小公司功不可没。

Lasertec是世界上为数不多的做光罩缺陷检验的公司。我们知道,在芯片生产过程中,光罩必须是完美的,如果出现任何差错,即使是微小的差错,最终都会导致芯片无法使用,而Lasertec就是为光罩质量保驾护航的。

过去几十年,在摩尔定律的推动下,芯片制程已经推进到了7nm,这就使得传统的DUV光刻无法再继续按照这个规律演进,产业界经过多年的探索,就将目光投向了EUV。但作为一项难道极大的技术,产业链在各个环节上面临挑战。而Lasertec就是为了突破这些困难而生的。

据彭博社报道,在2017年,总部位于日本横滨的Lasertec解决了EUV光刻的最后一大难题。他们制造出了能够检测EUV光罩基板内部缺陷的机器,并成为这个行业的垄断者。

Lasertec总裁Osamu Okabayashi告诉记者,Lasertec 已经收到了40亿日元(3600万美元)的EUV光罩母版检测机器订单。他指出,该公司可能会在今年夏天看到额外的销售额,这主要取决于三星电子公司和TSMC大规模生产的速度。

“我们花了六年时间开发这种设备,”Okabayashi在接受采访时说。“此时它已成为行业标准,其他人很难进入这个领域。”

EUV掩模由大约80个交替放置的硅和钼(molybdenum)层组成,售价可以高达100,000美元的价格。目前全球只有Hoya Corp.和AGC Inc.两家公司(均在日本)制造光罩母版(blank)。Lasertec的机器可以在早期帮助发现问题,这对于提高技术成本具有竞争力至关重要。“对于EUV,光罩必须是完美的,”Okabayashi强调。

EUV光刻是如此复杂和昂贵,这就导致到目前为止,只有三星和台积电表示他们将使用它转向7纳米芯片制造。英特尔公司则推迟了其推出,Globalfoundries Inc.则完全放弃了先进制程工艺的研发。

EUV光罩基板测试人员需要大约两年时间才能建立。

9、日本住友

日本住友重机械工业生产半导体制程中使用的离子注入设备。

10、日本尼康

最早通过相机和光学技术发家,1980年开始半导体光刻设备研究,1986年推出第一款FPD光刻设备,现如今业务线覆盖范围广泛。2004年之前,尼康占据全球光刻机市场超过50%的市场份额,被誉为“设备业界王者”。但后来在关键技术路线上选择错误,使得一众客户倒戈AML,尼康从光刻机王者宝座滑落。

尼康虽然在芯片光刻技术上远不及ASML,目前的产品还停留在ArF和KrF光源,且售价也远低于ASML,和EUV更加难以相提并论。但在FPD光刻方面,尼康优势极为突出,尼康的机器范围广泛,从采用独特的多镜头投影光学系统处理大型面板到制造智能设备中的中小型面板,提供多样化的机器。

11、日本Gigaphoton:

成立于2000年,Gigaphoton一直在积极开发极紫外(EUV)光刻技术。其前身是小松公司,小松激光技术的历史可以追溯到1980年。小松公司于1985年推出了日本第一台准分子激光器单元KLE-630,1987年推出了世界上第一台用于半导体光刻工艺的准分子激光器单元KLE-630S。

Gigaphoton的激光光源已被包括日本在内的亚洲大多数半导体制造商采用,并在欧洲和美国得到迅速的接受。

12、日本Shibaura:

历史可追溯到1939年,主要生产半导体前工程以及后工程制造设备、FPD前工程以及后工程制造设备、真空应用制造设备、激光设备等。不同于多数半导体设备厂,其很难兼顾前、后端领域,SHIBAURA两大前后制程都可以兼顾,且都有很非常好的成绩。其Flip ChipBonder更是全球最大品牌,在全球市占率遥遥领先对手。

13、日本美村(muratec)

拥有世界领先的自动络筒机等纤维机械第一市场份额。村田机械创业于1935年,是日本具有代表性的机械厂商。从发明空气捻接器以来,先后广泛涉入了机床、信息设备、物流设备、面向液晶工厂/半导体工厂的自动化设备等领域。

14、小原光学

掌握着世界电子镜片35%的市场份额。

15、索尼

掌握着世界音频设备22%的市场份额等等。

三、新加坡半导体设备企业

先进太平洋科技ASM Pacific Technology

先进太平洋科技(ASM Pacific Technology,ASMPT)2018年的排名下降两位,由第六降为第八,但是2018年的营收与2017年比增加了12%。

先进太平洋科技于1975年从代理模塑料及封装模具起家,逐步成长为一全球最大的封装和SMT设备供应商。从最初的4 个人,发展到16000多人,业务遍布全球超过30个国家和地区,拥有业界最完整的产品,涵盖其竞争对手无法比拟的所有主要装配和包装工艺。

先进太平洋科技在中国香港、中国成都、中国台湾、新加坡、德国慕尼黑、英国韦茅斯和荷兰布宁根等地拥有卓越的科研中心,每年投入的研发经费占营收的10%左右。

四、荷兰半导体设备企业

阿斯麦的崛起之路

上世纪80年代,荷兰飞利浦在实验室研究出了一台步进式扫描光刻技术的雏形机,考虑到成本问题,打算邀约其他企业合作。理想合伙人是有技术有资金的美国大厂,不过美国企业并不看好这一项目,因为1980年尼康已经推出了可商用的步进式重复式光刻机。一个荷兰的本土企业跑来毛遂自荐,这就是阿斯麦的前母公司ASM国际。当时的ASM国际是一家半导体设备代理商,并不涉及技术领域,飞利浦不是很满意,但在观望一年迟迟没有大公司合作的前提下,最终与ASM国际达成了合作。当时负责光刻机项目的特罗斯特,ASM的首席执行官德尔·普拉多。阿斯麦的首任首席执行官贾特·斯密特。

1984年,飞利浦与ASM国际各自出资210万美元,合资成立了阿斯麦,由此开启了阿斯麦的故事。值得一提的是,飞利浦当时已经半放弃了这一方案,拉ASM国际入伙是希望借其分担损失,甚至飞利浦不愿给该项目一个像样的办公室,当时的阿斯麦仅仅31名员工,就窝在飞利浦大厦外的简易木板房里办公。

`飞利浦和ASM原本约定各向合资公司注资210万美元,但刨除价值180万美元的17台光刻机和其他库存后,飞利浦只给阿斯麦账户转了30万美元。

当时,光刻机市场有10个玩家,既有占据较大市场份额的美国GCA和Perkin-Elmer,也有正虎视眈眈的新秀日本尼康和佳能,阿斯麦垫底。

没有人看好阿斯麦。

有分析师公开表示,ASM和飞利浦的合资企业注定以失败告终,飞利浦拥有世界上最先进的“步进光刻机”技术,却根本不知道该用光刻机做什么。

从飞利浦调往阿斯麦的47名员工认为,他们只是光刻机市场的笑话,要做的,只是静待阿斯麦倒闭,在4年之内,收拾行李重回飞利浦怀抱。

阿斯麦的首任首席执行官贾特·斯密特是一位敏锐的科学家,他听完各类不利消息,反倒对阿斯麦有信心。

在他看来,阿斯麦的光刻技术领先时代,其对准技术非常先进,“电动晶圆台”会成为阿斯麦独有的卖点。

只要再具备优秀的光学元件,阿斯麦就可以创造出世界级的产品。对准技术、晶圆台、光学镜头三大零部件,未来也将成为阿斯麦产品的利器。

此外,在摩尔定律下,每隔18个月,集成电路上可以容纳的晶体管数目就会增加一倍,这就需要生产芯片的光刻机保持迭代。

更妙的是,当时正值半导体行业技术变革期,制造商正寻求从大规模集成电路(LSI)向超大规模集成电路(VLSI)转变,光刻机也将迎来一次大的技术更迭。

斯密特相信,只要能在两年内制造出一台满足制造商需求的新设备,阿斯麦就可以实现弯道超车,成为行业内第一。

毕竟,在新技术面前,所有玩家站在同一个起跑线上。

自此,阿斯麦夺命狂奔推新品的上半生,开始了。

连工资都发不出来了

1984年,阿斯麦开始研发这款承载了公司所有希望的产品:PAS 2500。

斯密特初步估计,它需要1亿美元研发资金。而两家母公司只答应各增加150万美元的投资,剩下的要自己想办法。

而阿斯麦活下去的第一步,是拿下飞利浦半导体和材料部(以下简称“Elcoma”)的订单。拿下Elcoma的订单,就相当于拿到了母公司飞利浦的站台。

肥水不流外人田,Elcoma很给面子地下了订单,但要求阿斯麦必须在1986年4月1日前交付第一台PAS 2500。Elcoma高管表示,“不能晚一天,否则,我就会选择尼康。”

二十世纪八十年代,尼康迅速崛起,相较于美国光刻机龙头GCA,尼康的光刻机性能更稳定。1982年时,GCA还在日本市场占有95%的市场份额,到1983年已经降低到45%,绝大部份市场由日本尼康获得。

此外,将新光刻机产品送去美国SEMICON West展会参展,打出阿斯麦的名声,也是必须要做的一步。

但这意味着,阿斯麦需要在1986年4月和5月,分别交付一台PAS 2500。研发时间,要从2年缩短至18个月。

斯密特要求PAS 2500必须在1986年1月1日拿出原型产品,否则,订单将无法交付,公司也会完蛋。

崇尚享受生活、到点下班的荷兰人,显然没有中国人民的那种勤劳,1986年1月新年后,PAS 2500依旧没有组装完成,并预计要到5月才能完成研发。但这将完美错过上述两个重要日期。

斯密特暴跳如雷,直接裁掉了PAS 2500项目研发团队的负责人,换成了两个年轻人,其中一个仅18月工龄。

这两个给力的年轻人,最终使阿斯麦在规定期限内交付了两台PAS 2500。

PAS 2500还在5月的美国SEMICON West展会上打出名声,不但拿下了4台PAS 2500的订单,还获得了同行的认可。

展会上,阿斯麦一位工程师跑了一圈竞争对手的展位,结果得到参会同行们的一致回答:“最好的光刻机产品是我们,而第二好的是阿斯麦。”

虽说在光刻机市场上有了一点名声,可阿斯麦的日子并没有因此变得好过。

原因是太穷。

1984年至1987年3年间,整个光刻机行业正遭遇洗牌。1986年,10家光刻机公司中有3家退出市场,剩下的7家供应商,也将在接下来几年陆续出局。

昔日光刻机龙头GCA濒临破产,已经到了要用其所持有的蔡司股份来支付蔡司镜头费的地步了。

1986年,本预定了阿斯麦一半订单的美国半导体公司AMD,在最后关头撕毁合同,让阿斯麦创纪录地亏损超过1400万美元。

次年,光刻机行业低价倾销、产能过剩,阿斯麦还不得不降价销售产品,利润又受到影响。

同期,母公司ASM也遭遇危机,1986、1987两年分别亏损了2500万美元和2300万美元。

ASM首席执行官德尔·普拉多正为财务状况焦头烂额,阿斯麦的斯密特却对支出超出预期不以为然,觉得这是阿斯麦成为行业第一所要承受的。

付钱的人和花钱的人冲突越来越大,普拉多反复说“我是写支票付钱的人”,斯密特则回敬“这是我的策略,接不接受随你”。

最终,斯密特在1987年任期结束后离开了阿斯麦;母公司ASM则因财务状况,退出阿斯麦股东行列,它投入的3500多万美元也打了水漂。

飞利浦接手了ASM在合资公司中的股份和债务。

而阿斯麦,在1988年春天用完了2500万美元的全部信用额度,连发工资的钱都没有了。一度连续三个月临发工资时,阿斯麦的财务总监都要跑到飞利浦乞讨,求来130万美元员工工资。

此时的阿斯麦,离死亡只有一线。

转机出现

斯密特虽然为这家公司注入了成为行业第一的梦想和不计成本追求产品迭代的基因,但是他太能烧钱了,阿斯麦急需一个能让公司转亏为盈、推动公司步入正轨的人。

特罗斯特就是因此被骗过来当CEO的。1987年,他本打算与妻子开始一场长途旅行,却被飞利浦的老上司哄过来当代理CEO,老上司一直在说“新CEO马上就来”,可继任者遥遥无期。发现自己被骗的特罗斯特,在愤怒中提出了人生中第一次升职要求:成为阿斯麦的CEO。

特罗斯特的任期只有一年半,对阿斯麦而言,他是一个重要的过渡人。

他改变了斯密特时期阿斯麦花钱如流水的习惯,节省一切可节省的成本;调低公司生产计划,将1988年预计生产80台机器调整到60台;在他任期内,阿斯麦实现首次盈利,虽然这只是一个意外。

1988年年底,成立不到2年的台积电的工厂被烧,台积电不得不向阿斯麦增订了17台光刻机,这为阿斯麦带来大笔营收。

特罗斯特对阿斯麦的另一个重大贡献是,他主导阿斯麦研发了一款新产品:PAS 5500。这台机器能同时满足美国市场、日本市场不同客户的需求;还能像积木一样,进行模块化的组装,并且适应、加载未来5年内的新技术。

PAS 5500项目后来成为阿斯麦第一款有行业影响力的产品,但PAS 5500仍差一点将阿斯麦拖垮。

这个项目需要50名工程师共同进行研发。此外,还要对生产、物流、服务部门进行改造。而从1984年成立开始,阿斯麦银行账户上常常只有5位数现金,需要支出的钱是却账户余额的十倍。

阿斯麦需要客户,需要钱。接任特罗斯特岗位的马里斯,就是在这个时候出现的。

1990年年初,马里斯刚刚接任时,来自飞利浦的阿斯麦员工们非常担忧,这位新领导喜欢冥想、以避免冲突闻名。

他是否具备带领阿斯麦打赢与厂商的能力?

事实证明,马里斯身上的亲和性正是阿斯麦所需要的。

比如拿下IBM订单的那场漂亮仗。

IBM很好看PAS 5500,并且它即将花 10亿美元购入新的机器。两家公司交流许久,阿斯麦本打算向IBM展示PAS 5500的10个子系统,再史无前例地将所有模块组装起来,形成一台光刻机,好一举震住IBM,拿下订单。

1991年,受国际形势影响,IBM的美国员工无法乘坐飞机抵达荷兰。这个订单眼看要泡汤。

项目经理范登克林怒骂:“我们完蛋了,那些官僚不允许他们出差。”

阿斯麦用摄像机拍下预备向IBM展示的内容,然后通宵将视频内容剪出来,第二天一早乘飞机前往位于纽约州阿蒙克市公司的IBM,试图尽最后的努力拿下订单。

IBM的人被纪录片里这台可拆解的机器震撼了,“可拆解光刻机”能帮IBM省一大笔钱,当光刻机的一个零部件坏了以后,他们不需要将整台机器停产维修。

阿斯麦如愿签下了这个10亿美元的订单。

马里斯还擅长建立关系和沟通,为阿斯麦免于很多谈判摩擦。他会去三星那里,听客户公司刚满25岁的员工抱怨机器问题,并竭力解决这些问题。

此外,马里斯让阿斯麦和其“物镜系统”供应商蔡司的关系在1993-1998年得到长足发展。

“物镜系统”是阿斯麦光刻机的核心零部件之一,蔡司的镜头直接影响光刻机产品的效果。

蔡司成立于1846年,是德国的光学仪器厂商。当蔡司原大客户GCA因经营问题垮了以后,阿斯麦抓住机会成为蔡司该领域的大客户。

但蔡司一直采用传统手工生产,随着阿斯麦对镜头精度的要求提高,产品质量不稳定、产能不足的蔡司镜头,逐渐成为阿斯麦光刻机产品的瓶颈。

1994年,岌岌可危的蔡司,终于决定对产线进行自动化改造时。为了不让蔡司拖阿斯麦后腿,阿斯麦母公司飞利浦前后借给了蔡司3900万美元改造产线。自此,阿斯麦与蔡司形成深度合作关系。

此后,当蔡司出现服务其他公司迹象时,马里斯就会展现“外交才能”,要求蔡司只能向阿斯麦供货。

阿斯麦还拿下蔡司半导体光学部门24.9%的股权。

与供应商和客户建立了紧密联系的阿斯麦,在产品销售上也取得长足进步。1993年,阿斯麦盈利1100万美元;到1994年,其净利润超过2000万美元。

1995年,阿斯麦终于在美国纳斯达克和荷兰的阿姆斯特丹交易所上市,从成立算起,历时11年。

研发成功世界首台浸没式光刻设备

2002年,时任台积电研发副经理林本坚提出不改变波长,而是在镜头和光刻胶之间加一层光线折射率的介质,比如水。这一技术方案被称为浸没式光刻技术,经过水的折射,光线波长可由193nm变为132nm。早在1987年,林本坚就提出了这一构想,但一直不被业内看好。

多数大企业都不愿接受林本坚的方案,他们依然对干式光刻技术寄予厚望不想额外增加成本,当时并不亮眼的阿斯麦接受了浸没式光刻技术。合作一拍即合,2003年10月,阿斯麦和台积电研发成功了世界首台浸没式光刻设备(型号为TWINSCAN XT:1150i)紧接着第二年阿斯麦的浸没式光刻机改进成熟。而尼康也在同一年宣布攻克157nm的干式光刻机技术,并且推出了产品样机。一个是成熟的132nm波长新技术光刻机,另一个是157nm波长的样机。显而易见,阿斯麦实现了弯道超车!2007年,尼康让出了光刻机领头的位置,那时的阿斯麦市场份额达到了近60%。阿斯麦凭借着准确的前瞻判断,毅然放弃了干式微影,转投浸没式光刻技术,拿到了光刻机主流生产厂家的入门券。

阿斯麦的光刻机崛起之路——吸收美国技术,吸引三大巨头入股

真正让阿斯麦登上光刻机顶峰的,是以自身妥协换来的EUV光刻技术研发机会。1997年,英特尔说服白宫,组建了一个名为“极紫外线有限责任公司(EUV LLC)”的组织,囊括了英特尔、摩托罗拉、AMD等企业,还包含了美国三大国家实验室,技术和资金都有。鉴于美国在技术层面的自私性,这一组织几乎清一色都是美国成员,但英特尔强调必须要依靠在光刻机领域有经验的企业才能推动计划的顺利实施,这时候荷兰阿斯麦和日本尼康都是候选。

阿斯麦果断作出了选择,向美国承诺愿意出资在美建立工厂和研发中心,保证55%的原材料都从美国采购。另一边尼康的诚意显然不足,日本企业没有摸准美国的“零和思维”,最终阿斯麦拿下了这一重要席位(另外还有一个非美公司——德国英飞凌)。群英荟萃的EUV光刻研究组织,使得阿斯麦在EUV研发之路上吸收了诸多先进技术,同时积攒了经验。这一组织用6年时间证明了用极紫外线作为光源造光刻机的可行性,但没有一家企业愿意付出成本生产,2005年组织解体。积攒了经验的阿斯麦开始牵头EUV研发项目,集合各方资源开展EUV研发计划。终于2010年,全球首台EUV光刻机问世(型号为NXE:310)。阿斯麦由此成为了光刻机市场的领头羊,不仅在主流市场稳居销售高位,在尖端的EUV市场更是独此一家。

当然,光有技术还不行,还得确保资金。阿斯麦也曾出现过资金链中断,老东家ASM国际和飞利浦相继撤销或减少资金,导致阿斯麦差点破产。危机时刻,时任阿斯麦CEO开始四处奔走拉投资,及时从飞利浦董事会成员(Henk Bodt)要到了1亿美元的“及时雨”。但后续投入浸没式光刻技术以及EUV光刻研究都需要大量资金,且不说研发费用,就生产费用一台光刻机就得上亿元。为了能够保住阿斯麦的资金链,确保技术不断层,阿斯麦于2012年提出“客户联合投资计划”,即接受客户的注资,愿意投资的客户将成为公司股东同时拥有优先订货权。芯片制造行业3大巨头:英特尔、台积电、三星注入巨资。阿斯麦以23%的股权筹得了三大巨头52.29亿欧元的资金,而当时阿斯麦全年的净销售额也不过47.3亿欧元。

2001年,ASML 收购美国光刻机巨头硅谷集团(SVGL), 快速获得反射技术,市场份额快速提升。ASML 尚未掌握新一代 157nm 激光需要配臵的反折射镜头技术,硅谷集团拥有较成熟的 157nm光学技术,于是 ASML 通过将硅谷集团收入囊中获取了该技术。

2007年,ASML 收购了美国 Brion 公司,这是一家专门从事计算光刻集成电路的公司,成为 ASML 整体光刻产品战略的基石。

2013年,ASML收购了全球领先的准分子激光器厂商Cymer,加速了EUV光源技术的发展, 为光源技术提供了保障。Cymer 拥有世界领先的光源技术,2000 年推出第一款专为193nm波长的光刻应用而设计的ArF光源;2001年推出业界首款光刻光源专用电子诊断和性能监控软件Cymer OnLine;2005 年推出业内首款 193nm ArF 6kHz 光源 XLA 300,之后 Cymer的多款产品也均是行业首发。2009年,Cymer 提供了首个生产就绪的激光产生的等离子EUV源。ASML 对 Cymer 的收购,加速了 EUV 半导体光刻技术的发展。

2016年,ASML 收购了台湾领先的电子束晶圆检测设备的半导体设备厂商 HMI,为先进工艺提供了晶圆缺陷检测技术支持。HMI是电子束检测设备领域的老大,据统计,其市占率达85%。通过此次收购,ASML 进一步拓展了 HMI 电子光束解决方案业务,自收购 HMI以来,提供了多个模式保真度计量工具(ePfm5 系统),为客户提供了检测模式缺陷的增强功能。

2017年,ASML收购德国卡尔蔡司子公司24.9%股权(据公司年报披露),布局微影镜头关键技术。

2019年,ASML 又收购了竞争对手Mapper 的知识产权资产,进一步促进了公司的发展。

阿斯麦全年净销售总额为140亿欧元,约合1100亿元人民币;净利润35.54亿欧元,约合279.2 亿元人民币;毛利率48.6%.

2020年,阿斯麦全年共交付31台EUV光刻机,总价值354.29亿元人民币。

据接近阿斯麦的人士透露,阿斯麦的EUV光刻机(NXE3300)、(NXE3400)、(NXE3600)的价格分别在12.6亿、13.41亿、15.78亿元人民币左右。

预计公司2021年EUV光刻机交付55台,2022年60台。

五、韩国半导体设备企业

SEMES(细美事)

三星控股的子公司,是韩国最大的半导体设备厂商。主要产品包括半导体前后道设备,面板设备以及洁净室自动化设备。主要供应三星电子。

韩国半导体设备企业比较多,主要是为大企业做配套,销售额较低。

六、瑞士半导体设备企业

Evatec公司

Evatec为瑞士半导体设备商,包含先进封装、功率半导体、无线通信、MEMS与光电半导体。Radiance机台于2009年导入LED产业,提供相当ITODamage Free 制程质量,逐渐打开市场知名度,客户包含主要一线LED厂商,涵盖欧美韩台中。

镀膜机比较有名。

七、中国半导体设备企业

我国已经实现了12英寸国产装备从无到有的突破,总体水平达到28纳米,刻蚀机、离子注入机、PVD、CMP等16种关键装备产品通过大生产线验证考核并实现销售。

1、晶盛机电

晶盛机电早年从光伏设备起步,逐步进入半导体设备,又从单一的硅单晶炉设备,向切片、抛光、外延设备等拓展,研发出了第三代碳化硅半导体设备。产品包括8英寸硬轴直拉硅单晶炉、6 英寸碳化硅单晶炉外延设备。其中碳化硅单晶炉已经交付客户使用,外延设备、抛光设备完成技术验证,12英寸半导体单晶炉已经在国内知名客户中产业化应用。公司还生产半导体抛光液、阀门、磁流体部件、16-32 英寸坩埚等产品。

2、北方华创

热处理设备龙头,在热处理设备的各个细分领域均有成熟的产品线。另外,北方华创在集成电路刻蚀机、PVD、CVD、ALD、清洗设备、立式炉、外延炉等设备也通过验证。PVD 设备已推进至14nm制程,可覆盖90%以上的 PVD 工艺。

公司客户包括长江存储、华虹等。

北方华创不仅是热处理设备的龙头,还是光伏、锂电、半导体的硅刻蚀、薄膜沉积、清洗设备,甚至第三代碳化硅半导体设备的龙头之一。公司的碳化硅(SiC)长晶炉、刻蚀机、PVD、PECVD等第三代半导体设备开始批量供应市场;12 寸硅刻蚀机、金属PVD、立式氧化/退火炉、湿法清洗机等多款高端半导体设备已经量产。2018-2020 年主营收入分别为 33.25 亿元、40.58 亿元、60.56 亿元。

3、屹唐半导体

主营刻蚀设备、热处理设备,屹唐半导体在国内去胶设备市场份额排名第一。屹唐半导体的热处理产品进入国内外领先芯片制造商。屹唐半导体的快速热处理设备在全球市场占有率排第二。公司快速热处理设备在晶圆表面器件快速热退火图形效应、晶圆表面器件热应力控制能力、晶圆表面瞬时测温能力、控温能力、单位时间生产效率、产能、综合持有成本等主要技术指标、关键性能参数方面表现出色。拥有针对现行及未来一代逻辑、DRAM和闪存器件量产而设计的 Helios® 系列快速热处理设备和能有效避免晶圆破片的 Millios® 闪光毫秒级退火设备两款产品。

屹唐半导体的干法去胶设备和快速热处理设备可用于90 纳米到5纳米逻辑芯片、10 纳米系列 DRAM 芯片、32 层到 128 层 3D 闪存芯片制造中若干关键步骤的大规模量产。Suprema® 系列干法去胶设备已具有 30 多年历史,拥有远程电感耦合等离子体发生器等世界领先核心技术,工艺范围宽、工艺性能优异、颗粒污染小、损耗品成本和综合持有成本低。据 Gartner 统计数据,2018 年-2020年公司在干法 去胶设备领域中分别位于全球第三、第二、第一的市场地位,市占率逐年提升。服务客户涵盖台积电、三星电子、中芯国际、长江存储、格罗方德、美光科技等。

公司的主营产品主要包括干法去胶设备、快速热处理设备、干法刻蚀设备在内的集成电路制造设备及配套工艺解决方案。公司热处理设备的服务客户汉涵盖三星电子、台积电、海力士、中芯国际、长江存储。2018-2020 年营业收入依次约 15.2 亿元、15.7 亿元、23.1 亿元。

2015年12月2日,北京 “亦庄国投”旗下 “屹唐盛龙”以3亿美元收购美国硅谷的半导体芯片加工设备供应商Mattson Technology(下称“Mattson”)。Mattson是全球半导体晶圆加工关键设备主要供应商,在刻蚀、快速热处理(RTP)、光刻胶剥离及清洗等技术领域处于全球领先地位。

4、上海微电子装备集团公司

后道(封测)光刻机国内市场占有率达60%,90nm的沉浸式光刻机于2018年通过验收。据报道,上海微电子将在2021年完成首台28nm国产DUV光刻机的交付。

5、芯源微

国内涂胶显影设备龙头,日本东京电子垄断了90%的份额,芯源微的市占率为5%左右,是我国唯一能突破28nm技术的公司,前道 I-line 涂胶显影机在长江存储上线进行了工艺验证,前道 Barc(抗反射层)涂胶设备在上海华力通过了验证。客户包括青岛芯恩、上海积塔、中芯国际、昆明京东方、厦门士兰、长江存储等,截至目前已累计销售800 余台套。公司的产品包括光刻工序涂胶显影设备(涂胶/显影机、喷胶机)和单片式湿法设备(清洗机、去胶机、湿法刻蚀机),可用于 6 英寸及以下单晶圆处理(如 LED 芯片制造环节)及 8/12 英寸单晶圆处理(如集成电路制造前道晶圆加 工及后道先进封装环节)。

6、中微公司

主营刻蚀设备、LPCVD研发、量测设备;第二代电介质刻蚀设备已广泛应用于28到7nm后段制程以及10nm前段制程,中微的刻蚀设备通过台积电5nm验证,2020年量产。刻蚀设备的服务客户涵盖:长江存储、华力微电子、中芯国际等。2018-2020年主营收入分别为 16.39 亿元、19.47 亿元、22.73 亿元。

7、凯世通

凯世通2009年成立,早期主要从事光伏行业的离子注入设备研发,出货量排名世界第一。

2019年,凯世通的晶圆离子注入机已获得国内12英寸晶圆厂和主流存储器芯片厂的产线验证,产品在束流强度指标上表现优秀。

8、中国电子科技集团旗下的电科装备

中电科电子装备集团有限公司旗下中科信的离子注入机已经覆盖至28nm,烁科装备拥有低能大束流离子注入机、中能大束流离子注入机、高能离子注入机、三代半离子注入机,产品已在中芯国际大批运用。

中电科 45 所

主要经营后道封装设备,产品涉及减薄、划切、倒装、引线键合等设备;

9、沈阳拓荆

专注于 PECVD、ALD、SACVD等,PECVD薄膜沉积设备已在中芯国际40-28nm产线使用,ALD设备也在14nm工艺产线通过验证。国内唯一产业化应用的集成电路 PECVD、SACVD 设备厂商。

沈阳拓荆是由海外专家团队和中科院所属企业共同发起成立的国家高新技术企业。公司主要从事纳米级镀膜设备及其零部件的研发、设计、制造及技术咨询与服务,拥有 12 英寸PECVD(等离子体化 学气相沉积设备)、ALD(原子层薄膜沉积设备)、SACVD(次常压化学气相沉积设备)三个完整系 列产品,广泛应用于集成电路前道和后道、TSV封装、光波导、Micro-LED、3D-NAND 闪存、OLED 显 示等高端技术领域。2018-2020 年公司的 PECVD 设备占主营收入比例分别为 78%、100%、98%;2018-2020 年主营业务收入分别为 0.71 亿元、2.51 亿元、4.36亿元。

公司的产品已成功应用于中芯国际、华虹集团、长江储、厦门联芯、燕东微电子等行业领先集成电路制造企业产线,产品已适配国内最先进的28/14nm 逻辑芯片、19/17nm DRAM 芯片和 64/128 层 3D NAND FLASH 晶圆制造产线,2.5D、3D 先进封装及其他泛半导体领域。

10、华海清科

成立于2013年,实际控制人为清华大学,核心团队成员来自清华大学摩擦学国家重点实验室。是抛光设备CMP的国内龙头。国内唯一具有核心自主知识产权的 12 英寸 CMP 设备商,主要产品为化学机械抛光(CMP)设备,可覆盖 12 英寸和 8 英寸的产线,总体技术性能已达到国际先进水平。公司的 CMP 设备包括非金属介质CMP、金属薄膜 CMP、硅 CMP ,服务客户涵盖中芯国际、长江存储、华虹集团、英特尔、长鑫存储、厦门联芯、广州粤芯、上海积塔等国内先进集成电路大产线。公司的具有完全自主知识产权的 CMP 设备在逻辑芯片制造、3DNAND 制造、DRAM 制造等领域的工艺技术水平已分别突破至14nm、128 层、1X/1Ynm ,均为当前国内大生产线的最高水平和全球集成电路产业的先进水平。

11、盛美半导体

是清洗设备的龙头,已在2017年美国纳斯达克上市。在国内的国产清洗设备市场中,盛美占据80%左右的市场份额。

作为行业龙头,盛美半导体在2009年就研发出了第一款兆声波清洗技术SAPS,并进入到SK海力士的无锡生产线。

此后,陆续研发出了TEBO、Tahoe等全球领先的半导体清洗技术,技术节点正向5nm、3nm等先进制程工艺不断突破。公司的前五大客户分别是长江存储、华虹集团、海力士、长电科技和中芯国际。

盛美半导体是国内镀铜设备的唯一国产供应商。

12、至纯科技

生产清洗设备。

13、赛腾股份

公司通过收购日本Optima进入半导体检测设备领域,客户包括了三星、SK 海力士、台积电等顶级大厂。Optima在晶圆边缘检测、晶圆正面/背面检测、宏观检测、针孔检测等晶圆缺陷检测设备上有成熟的产品线。

14、精测电子

目前公司已基本形成在半导体检测前道、后道全领域的布局,子公司上海精测主要聚焦半导体前道检测设备领域,以椭圆偏振技术为核心开发了适用于半导体工业级应用的膜厚量测以及光学关键尺寸量测系统。上海精测膜厚产品已取得国内一线客户的批量重复订单、OCD测量机已取得订单并已完成交付,且上海精测供应链已基本实现国产化;客户的拓展工作也已取得了较好的成绩,已进入中芯、长存等。

15、长川科技

第二代全自动超精密探针台,兼容 8/12 寸晶圆测试, 产品细分包括 CP12-SOC/CIS、CP12-Memory、CP12-Discrete、CP12-SiC/GaN等,分别可应用于 SOC/CIS、 Memory、 Discrete、 第3 代化合物半导体等集成电路的测试。

16、中科飞测

提供集成电路光学检测设备且已取得国内顶级芯片制造厂商批量订单。形貌量测设备、厚度量测设备等已进入主流晶圆厂。公司创始人和核心团队成员具有海外多年技术积累和管理经验,自主研发核心产品包括三维形貌量测系统 CYPRESS系列、表面缺陷检测系统SPRUCE 系列等。其中公司多台光学表面三维形貌量测设备进入本土存储客户,多台厚度量测设备等检测设备进入青岛芯恩产线。

17、华峰测控

模拟及数模混合测试设备,募投项目进入SoC类测试系统及大功率器件测试系统。公司IPO募集资金15.1 亿元,达产后将形成年产 800 套模拟及混合信号类集成电路自动化测试系统和 200 套 SoC 类集成电路自动化测试系统的生产能力。

18、上海睿励

睿励科学仪器(上海)成立于 2005 年,距今已有 14 年历史,公司曾获三星电子多台膜厚量测设备订单,以及武汉新芯、长江存储、上海华力等的数台膜厚量测设备订单。

19、华兴源创

主要做面板检测,布局SoC 测试机,提供电子束图像检测与制程优化系统,首批科创板上市公司。

20、东方晶源

提供电子束图像检测与制程优化系统。国内首台关键尺寸量测设备(CD-SEM)出机中芯国际验证。东方晶源继2019年攻克电子束缺陷检测技术,此次出机的关键尺寸量测设备(型号:SEpA-c410)面向300mm硅片工艺制程,通过先进的电子束成像系统和高速硅片传输方案,搭配精准的量测算法,可实现高重复精度、高分辨率及高产能的关键尺寸量测。东方晶源继首台电子束缺陷检测设备进驻中芯国际产线后,首台关键尺寸量测设备能够进入中芯国际12英寸产线验证,

东方晶源计算光刻系列软件(OPC)、电子束缺陷检测设备(EBI)均已经过国际大厂产线验证并实现订单收入。子公司中科晶源。

21、无锡华瑛

提供单片晶圆表面湿处理设备、微量化学污染检测服务

22、上海御渡

提供中高端集成电路测试设备

23、鲁汶仪器

提供磁存储器刻蚀机、ICP-CVD、金属刻蚀机等

24、天准科技

1.6亿收购德国公司MueTec,布局半导体设备。

25、光力科技

主营切割划片机,已获得国内多家主流封测企业的 DEMO 和订单,开始进入客户正常生产流程。间接持有以色列Advanced Dicing Technologies Ltd(以下简称“ADT 公司”)69.39%股权,标的公司整体纳入公司合并报表范围。ADT公司是全球第三大半导体切割划片设备制造商,前身为美国 K&S 公司(库力索法半导体有限公司)以色列切割设备及刀片制造销售部门。ADT公司在半导体、微电子后道封装装备领域已有多年的经验,积累了大量的行业经验、技术和客户资源,在半导体切割精度方面处于行业领先水平,其自主研发的划片设备最关键的精密控制系统可以对步进电机实现低至 0.1 微米的控制精度,达到业内领先水平。

26、福建派利德科技

公司成立于2009年3月,专业从事半导体测试系统、测试分选机、编带机等设备研发、生产、销售。

27、深科达

专业从事热压机、恒温热压机、脉冲热压机、精雕机、贴合机、真空贴合机、OCA贴合机、水胶贴合机、ACF贴附机等。

28、复德科技

半导体封测设备公司,SOT、SOD、QFN、DFN、SOP 、TO等各系列的测试分选设备;目前的FD1850机型已达到50K(30ms测试时间)的UPH。

29、苏州艾科瑞思

专注于高性能装片机,2018 年营业 收入 7 千多万元;

30、江苏京创

专注于半导体切磨设备,自主研发的 AR9000 型 12 英寸双轴全自动划切设备在国内某封装产线正式并线运行验证;

31、深圳翠涛自动化

从事固晶机、焊线机、点胶机的研制,

32、大连佳峰自动化

产品定位于装片机。

整体来看,中国半导体设备布局完整,但经济、技术实力较弱,有些产品刚刚交付或正在研发,但市场空间大,企业竞争力强,假以时日,实现国产替代后,垄断全球市场是可以预期的。

,